Welcome![Sign In][Sign Up]
Location:
Search - traffic light controller

Search list

[OtherEDA

Description: 1、5人表决器;2、乘法器设计;3、交通灯控制器。-1,5 voting machine 2, multiplier design 3, the traffic light controller.
Platform: | Size: 138240 | Author: 陈派派 | Hits:

[File FormatEDA

Description: EDA交通灯程序.学习利用计数器和状态机设计十字路口交通灯控制器。设计一个简单十字路口交通灯控制器。该控制器控制甲乙两道的红、黄、绿三色灯,指挥交通和行人安全通行。-EDA traffic lights program. Learning to take advantage of the counter and state machine design crossroads traffic light controller. Design a simple crossroads traffic light controller. The controller controls the B two red, yellow and green lights, directing traffic and pedestrians safe passage.
Platform: | Size: 877568 | Author: xxy | Hits:

[OtherEDA

Description: EDA实验课的一个典型实验:交通灯控制器的实现,程序及仿真结果都有,仅供参考。-EDA experimental course of a typical experiment: the realization of the traffic light controller, procedures and simulation results are for reference only.
Platform: | Size: 433152 | Author: 陈坤 | Hits:

[VHDL-FPGA-Verilogtraffic_lights

Description:  交通灯控制器控制红(r)、绿(g)、黄(y)三种不同颜色的交通灯,这三种不同颜色灯的亮、灭分别由三个定时器(timer1、timer2、timer3)控制;  当某个定时器工作时,它所控制的交通灯亮,直到设定的定时时间到(该定时器状态由’0’变’1’),交通灯跳转到另一种状态;  clk是脉冲控制端(图中未标出);reset是异步复位端,复位状态为红色交通灯亮;  输出端r、g、y分别表示三种颜色交通灯的亮、灭状态。 - traffic light controller control red (R), green (g), yellow (y) three different colors of traffic lights, three different colors of bright lights, off by three timer (Timer1, Timer2, Timer3 ) control  When a timer work, it controls the traffic lights, until the set timing (the timer status ' 0 ' for ' 1' ), traffic lights Jump to another state  clk is the pulse control terminal (not shown) reset is asynchronous reset terminal, the reset state for the red traffic lights  output terminal r, g, y represent the three colors of traffic lights bright, the off state.
Platform: | Size: 1024 | Author: 吴胜兵 | Hits:

[Software Engineeringjiaotongdeng

Description: 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本系统采用MSC-51系列单片机ATSC51和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8051芯片的P1口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示(交通灯信号通过PA口输出,显示时间直接通过8255的PC口输出至双位数码管);车辆闯红灯报警;绿灯时间可检测车流量并可通过双位数码管显示。本系统实用性强、操作简单、扩展功能强。过上、下限温度时,进行声音(蜂鸣器)报警。-Crossroads shuttle vehicles, pedestrians bustling, car dealers driveway, walkway person, methodical. So rely on to achieve this orderly order it? Rely on automatic command system traffic lights. Traffic signal control many ways. The system uses the MSC-51 series MCU ATSC51 and programmable parallel I/O interface chip 8255A-centric device designed to traffic light controller realized according to the actual traffic flow through the P1 port 8051 set of red, green kindle time function traffic light cycle light, countdown five seconds flashing yellow warning (traffic light signal through the PA output, display time directly through the 8255 PC port output to double-digit LED) vehicles ran a red light alarm green time can detect vehicles Traffic and through the double-digit digital display. The system is practical, simple operation, expand strong function. Over the upper and lower temperature, for sound (buzzer) alarms.
Platform: | Size: 274432 | Author: 唐远航 | Hits:

[VHDL-FPGA-Verilogjiaotongdeng

Description: FPGA 交通灯控制器 基于fpga 已经仿真验证请放心下载-FPGA traffic light controller
Platform: | Size: 303104 | Author: h | Hits:

[Other Embeded program3

Description: traffic light controller
Platform: | Size: 24576 | Author: nadanarani | Hits:

[SCMproject

Description: 优秀本科毕业设计,太阳能交通灯控制器的设计的全部代码-Outstanding graduate design, solar traffic light controller design all the code
Platform: | Size: 53248 | Author: jackonchang | Hits:

[VHDL-FPGA-Verilogtraffic_C4_6

Description: this a traffic light controller programme. the code is wirted by verilog hdl. -this is a traffic light controller programme. the code is wirted by verilog hdl.
Platform: | Size: 312320 | Author: Waldo | Hits:

[Embeded-SCM Developjiaotongdeng-

Description: 十字路口交通灯,南北向为主干道,东西向为支道,每个道口安装一组交通灯:红、黄、绿。设计十字路口的交通灯控制器,使交通灯按状态1 状态2 状态3 状态4 的规律变化,循环不止。 状态1:东西向绿灯亮,南北向红灯亮,其他灯全灭,保持30 秒 状态2:东西向黄灯亮,南北向红灯亮,其他灯全灭,保持5 秒 状态3:东西向红灯亮,南北向绿灯亮,其他灯全灭,保持20 秒 状态4:东西向红灯亮,南北向黄灯亮,其他灯全灭,保持5 秒-Crossroads of traffic lights, the north-south trunk road, the east-west road to support each crossing to install a set of traffic lights: red, yellow, green. Design crossroads traffic light controller, so that the traffic lights by the state 1 state 2 state 3 4 state law changes, circulation more than. State 1: things to green light, red light from north to south, the other lights all off, 30 seconds Status 2: something to yellow light, red light from north to south, the other lights all off, 5 seconds state 3: the red stuff light, green light from north to south, the other lights all off, 20 seconds Status 4: something to the red light, yellow light from north to south, the other lights all off, 5 seconds
Platform: | Size: 6144 | Author: 陈卓 | Hits:

[VHDL-FPGA-Verilogtr

Description: VHDL CODE FOR TRAFFIC LIGHT CONTROLLER
Platform: | Size: 525312 | Author: pinky | Hits:

[Home Personal applicationshusogndai

Description: 单片机交通灯课程设计任务目标 某十字路口,南北向为主干道,东西向为支道,每个道口安装一组交通灯:红、黄、绿。设计十字路口的交通灯控制器,使交通灯按状态1 状态2 状态3 状态4 的规律变化,循环不止。 状态-SCM traffic lights curriculum design mission objectives in a crossroads of north-south trunk road, the east-west road to support each crossing to install a set of traffic lights: red, yellow, green. Design crossroads traffic light controller, so that the traffic lights by the state 1 state 2 state 3 4 state law changes, circulation more than. Status
Platform: | Size: 48128 | Author: wwwassadd | Hits:

[VHDL-FPGA-Verilogvhdl

Description: 本文件夹包含了四个代码分别为十进制,六进制,六十进制和交通灯控制器的vhdl源码实现-This folder contains the four codes are decimal, hex, decimal, and six traffic light controller vhdl source implementation
Platform: | Size: 2048 | Author: xiangyang | Hits:

[VHDL-FPGA-Verilogjiaotong

Description: 已经测试完美的交通灯控制器...状态机实现的,对于初学者应该有用的-The traffic light controller has perfect testing,State machine implementation.It should be useful for beginners.
Platform: | Size: 461824 | Author: jav | Hits:

[VHDL-FPGA-Verilogtlc_work_9

Description: Traffic light controller a four way logic implemented using cpld
Platform: | Size: 1024 | Author: Navnath | Hits:

[VHDL-FPGA-Veriloglab4

Description: s the design and simulation of a simple traffic light controller: The controller consists of a clock divider block, two sequential circuits: a timing counter and a signal generator (state generator), and a decoder. The counter is used to define a fundamental timing signal (sw_out) that drives the signal generator (state-machine). The signal generator generates the signals that control the traffic lights (state)
Platform: | Size: 529408 | Author: titorgtfo | Hits:

[ELanguagefpga4_123

Description: Verilog code for traffic light controller and vending machine
Platform: | Size: 94208 | Author: akkijamzala | Hits:

[VHDL-FPGA-Verilogtraffic_ligt_controller_veeren

Description: traffic light controller
Platform: | Size: 35840 | Author: hr | Hits:

[VHDL-FPGA-VerilogIJARCET-VOL-1-ISSUE-traffic_light

Description: Good document which contains traffic light controller
Platform: | Size: 470016 | Author: hr | Hits:

[VHDL-FPGA-Verilogtraffic_cntrl

Description: FSM based traffic light controller
Platform: | Size: 403456 | Author: kalyan | Hits:
« 1 2 3 4 5 6 7 89 10 11 »

CodeBus www.codebus.net